Вебинары про FPGA для начинающих

Вебинары про FPGA для начинающих

Обычно мы проводим свои обучающие курсы в классическом offline формате. Но сейчас это невозможно из-за ситуации с коронавирусом. С другой стороны, это шанс попробовать новый формат для обучения.

И для первого эксперимента в online-образовании мы выбрали мини-курс про FPGA для абсолютных новичков.

Курс ведёт Максим Толкачев, руководитель группы FPGA в НТЦ Метротек.

Что будут знать слушатели, если пройдут курс:

  • Список литературы для самостоятельного изучения FPGA, с оценками, с чего лучше начинать
  • Что такое FPGA, какие есть вендоры, как примерно устроена среднестатистическая FPGA
  • Какие есть языки описания аппаратуры, что модно, что используется в production, за что следует браться
  • Базовые принципы написания кода на SystemVerilog
  • Как сделать простой проект на SystemVerilog, создать для него тестбенч, как запустить в ModelSim симуляцию и посмотреть времянки
  • Как собрать проект в Quartus, настроить пины, проверить, что проект «уложился» по частоте

Ресурсы:

Twitchhttps://www.twitch.tv/maksimtolkachev
Материалы на Githubhttps://github.com/stcmtk/fpga-webinar-2020
Видео на Youtubehttps://www.youtube.com/channel/UCRMROACTUVdOVWkx_PL86uA

Немного деталей:

Количество лекций4
Формат лекции1-1.5 часа видео + 20 минут лектор отвечает на вопросы
ПлатформаТрансляция на Twitch. Потом всё видео будет выложено на Youtube, а все исходники на Github
Обязательный backgroundНет
Желательный backgroundМинимальный опыт в программировании или администрировании
Целевая ОСWindows
Язык, который будет использоватьсяSystemVerilog

Расписание лекций:

Лекция 111 мая (понедельник), начало в 18:00
Лекция 213 мая (среда), начало в 18:00
Лекция 318 мая (понедельник), начало в 18:00
Лекция 420 мая (среда), начало в 18:00

План лекций:

Лекция 1.
Что умеют FPGA, и зачем они нужны
Что такое FPGA?
Преимущества и недостатки FPGA в сравнении с CPU/GPU/ASIC.
В каких областях используется?
Какие вендоры есть на рынке?
Введение в архитектуру FPGA.
Лекция 2.
Языки описания аппаратуры. Часть 1
Обзор HDL/HLS языков.
Знакомство с SystemVerilog.
Изучаем как писать код для FPGA.
Учимся описывать регистры и комбинационную логику.
Разбираем простое тестовое окружение для симуляции.
Запускаем симулятор.
Лекция 3.
Языки описания аппаратуры. Часть 2
Пишем конечные автоматы.
Знакомимся со структурами и интерфейсами.
Учимся писать более сложные RTL модули.
Разбираем продвинутые конструкции для верификации.
Лекция 4.
Пример законченного проекта
Собираем проект в Quartus.
Разбираем, что и как Quartus интерпретирует при синтезе проекта.
Изучаем статический временной анализатор TimeQuest.
Отлаживаем проект в симуляции и запускаем его на devkit.